An Activity Factor List for Energy Consumption of SRAM-based CIM Architectures


Akgül B., Karalar T. C.

30th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2023, İstanbul, Türkiye, 4 - 07 Aralık 2023 identifier

  • Yayın Türü: Bildiri / Tam Metin Bildiri
  • Doi Numarası: 10.1109/icecs58634.2023.10414189
  • Basıldığı Şehir: İstanbul
  • Basıldığı Ülke: Türkiye
  • Anahtar Kelimeler: Compute-in-Memory, energy consumption, switching activity
  • Yıldız Teknik Üniversitesi Adresli: Hayır

Özet

In this paper, an activity factor list is generated using the NeuroSim V3.0 Compute-in-Memory (CIM) simulation program for MNIST-like datasets. This list provides necessary information for designers to make a pre-estimation on the energy consumption of SRAM-based CIM architectures. According to that, created list can shorten-up the process of CIM-design by eliminating repetitive simulations to estimate energy consumption for each individual dataset. In brief, we generated a switching activity factor list containing 5 different datasets for MLP neural networks to enlight energy consumption behaviour of SRAM-based CIM architectures.